» Håll dig ajour kring AI · En AI-skapad och ständigt föränderlig nyhetstidning om AI. Idag har vår robot sammanfattat 238 nyhetshändelser. Upptäck gärna vår kurs AI på jobbet förresten. «

Samsung Electronics är ett sydkoreanskt multinationellt företag som grundades 1969. Det är en av världens största tillverkare av elektronik och specialiserar sig på att utveckla och producera en mängd olika produkter, såsom smartphones, TV-apparater, datorer, kameror och vitvaror. Samsung är mest känt för sin Galaxy-serie av smartphones och surfplattor, samt för sina högkvalitativa TV-apparater, såsom QLED och OLED. Företaget är också en ledande aktör inom halvledarindustrin och tillverkar minneskretsar, processorer och lagringsenheter. Samsung Electronics är en del av den större Samsung Group, som har verksamhet inom flera olika branscher.

Senaste nytt kring Samsung Electronics

Samsung Electronics utser ny chef för halvledarverksamheten

Samsung Electronics har utsett Young Hyun Jun till ny chef för företagets halvledarverksamhet. Jun ersätter Kyehyun Kyung, som nu kommer att leda den framtida affärsdivisionen, som fokuserar på att upptäcka nya tillväxtmöjligheter, samt Samsung Advanced Institute of Technology. Samsung befinner sig i intensiv konkurrens med SK Hynix om att producera de mest avancerade minneskretsarna på marknaden för att rida på AI-vågen. Minneskretsmarknaden domineras för närvarande av Samsung, SK Hynix och Micron - världens tre största leverantörer.

Direktlänk Dela Consumer News and Business Channel fördjupar idag
3 000+ kursdeltagare från svenska företag och offentliga verksamheter
har gått vår kurs "AI på jobbet".

AI i Cybersecurity-marknaden växer snabbt och erbjuder nya möjligheter

En ny studie, ´Artificial Intelligence (AI) i Cybersecurity Market 2024´, är nu tillgänglig på OrbisResearch.com. Studien ger insikter i AI i Cybersecurity-marknaden, inklusive aktuella trender, möjligheter, utmaningar och strategisk vägledning för intressenter. Rapporten undersöker också sårbarheter i försörjningskedjan och erbjuder strategier för att bygga motståndskraft. Dessutom höjdpunkterar rapporten vikten av e-handelsintegration, hållbarhetsinitiativ och användningen av dataanalys och AI. Rapporten listar också framstående aktörer inom marknaden, inklusive Darktrace, Cylance, Securonix, IBM, NVIDIA Corporation, Intel Corporation, Xilinx, Samsung Electronics, Micron Technology, Amazon Web Services, Vectra AI, ThreatMetrix, Sift Science, Acalvio Technologies, SparkCognition, Palo Alto Networks, Symantec Corporation, Skycure, BAE Systems, Check Point Software Technologies, Fortinet, Cisco Systems, FireEye och RSA Security.

Direktlänk Dela Amore Nigeria fördjupade 13 maj

Samsung Electronics spelar in sitt första mobila system-on-chip på 3nm GAA-teknik

Den här veckan meddelade Samsung Electronics och Synopsys att Samsung har spelat in sitt första mobila system-on-chip på Samsung Foundrys 3nm gate-all-around (GAA) procesteknik. Samsung använde Synopsys.ai EDA-suite för att placera och rita ut layouten och verifiera designen av SoC, vilket i sin tur möjliggjorde högre prestanda. Samsungs namnlösa högpresterande mobila SoC förlitar sig på ´flaggskepps´ allmänna CPU- och GPU-arkitekturer samt olika IP-block från Synopsys. SoC-designers använde Synopsys.ai EDA-programvara, inklusive Synopsys DSO.ai för att finjustera designen och maximera avkastningen samt Synopsys Fusion Compiler RTL-till-GDSII-lösning för att uppnå högre prestanda, lägre strömförbrukning och optimera yta (PPA).

Direktlänk Dela AnandTech fördjupade 3 maj

Samsung Electronics rapporterar tiofaldig ökning av vinsten för Q1 2024, drivet av AI-chip och Galaxy S24

Samsung Electronics rapporterar en tiofaldig ökning av vinsterna för första kvartalet 2024, drivet av stark efterfrågan på AI-kompatibla minneschips och robusta försäljningssiffror för den nya Galaxy S24-smarttelefonserien. Företagets senaste finansiella uppsving tillskrivs den ökade efterfrågan på dessa chips, som är avgörande för AI-beräkningar. Trots de imponerande finansiella resultaten upplevde Samsungs aktie en liten nedgång, vilket analytiker tillskriver bredare marknadstrender snarare än företagets prestanda som sådan.

Direktlänk Dela PC-Tablet fördjupade 2 maj

Samsung förutser stark efterfrågan på AI och stramar åt tillgången på vissa högpresterande chips

Samsung Electronics förutspår att efterfrågan på artificiell intelligens (AI) kommer att hålla sig stark och stramar åt tillgången på vissa högpresterande chips. Detta kommer efter ett starkt uppsving på den globala minneschipmarknaden. Samsungs aktier steg med 1,8 % på tisdag (30 april) efter att företaget rapporterat en mer än tiofaldig ökning av rörelseresultatet för första kvartalet. Samsung planerar att öka tillgången på HBM-relaterade chips tre gånger jämfört med förra året, enligt Jaejune Kim, vice VD för minnesdivisionen på Samsung.

Direktlänk Dela Channel NewsAsia fördjupade 30 april

Hälsospecialister förutser explosiv tillväxt inom hälsoindustrin tack vare AI-drivna wearables

Hälsospecialister förutser en explosiv tillväxt inom hälsoindustrin när AI-drivna wearables fortsätter att utvecklas och spelar en avgörande roll för att forma framtidens hälsovård. Dr. Hon Pak, Senior Vice President och chef för Digital Health Team på Samsung Electronics, diskuterade nyligen den potentiella inverkan av AI-drivna wearables på industrin. Under mötet betonade Professor Myung Jin Chung vikten av bärbar data för hälsovårdsförvaltning. Dr. Michael Blum och Dr. David Klonoff diskuterade rollen för kontinuerlig övervakning i tidig sjukdomsdetektering och ökande intresse för att spåra glukosnivåer.

Direktlänk Dela Breaking Latest News fördjupade 27 april

SK Hynix rapporterar en nettovinst på 1,92 biljoner won i Q1, vänder förlust

Sydkoreanska minneschipstillverkaren SK Hynix rapporterade en nettovinst på 1,92 biljoner sydkoreanska won (1,39 miljarder dollar) under första kvartalet, vilket vände en förlust på 2,58 biljoner won under samma period förra året. Detta var den första positiva inkomsten sedan tredje kvartalet 2022. Företaget tillskrev den starka prestationen en ökning av försäljningen av AI-serverprodukter och ansträngningar för att driva lönsamhet. SK Hynix planerar att öka tillgången på HBM3E, den senaste generationen av högbandbreddsminne för AI.

Direktlänk Dela Consumer News and Business Channel fördjupade 25 april

Samsung planerar att använda Google´s Gemini Nano 2 AI-modell i framtida Galaxy-flaggskepp

Samsung använder Googles Gemini Nano AI-modell för vissa AI-funktioner på Galaxy S24, Galaxy S24+ och Galaxy S24 Ultra. Denna modell har nyligen installerats på många 2023 Galaxy-flaggskepp via One UI 6.1-uppdateringen och vissa aspekter av Galaxy AI kommer också att läggas till vissa smartphones från 2022 och 2021 snart. Enligt en rapport från den sydkoreanska webbplatsen Daum Herald Economy har Cho Cheol-min, verkställande direktör ansvarig för System LSI på Samsung Electronics, kommenterat Googles Gemini Nano 2-planer och Galaxy S25-generationen. Samsung planerar ett nytt samarbete med Google för Galaxy-flaggskeppen 2025.

Direktlänk Dela Notebookcheck fördjupade 21 april

AI-teknologi i fokus på 2024 World IT Show i Seoul

2024 World IT Show (WIS) i Seoul visade nyligen framsteg inom konstgjord intelligens (AI) från olika sektorer, inklusive konsumentelektronik, telekommunikation, bilindustri och IT-lösningar. Samsung Electronics presenterade sin ´Galaxy AI´, som kommer att utökas till Galaxy S23 och senare Galaxy S22-serien. LG Electronics visade upp sina AI-fokuserade apparater och fordonsprodukter, inklusive konceptfordonet ´LG Alphable´. SK Telecom firade sitt 40-årsjubileum genom att visa upp utvecklingen av mobilkommunikation och AI-upplevelser. Kakao och dess dotterbolag visade teknologier som textbaserade bildgenereringsmodeller och ´AI Audiobook´-teknologi.

Direktlänk Dela Elblog fördjupade 17 april

Samsung Electronics lanserar One UI 6.1 med Galaxy AI för fler smartphones och surfplattor

Samsung Electronics meddelade idag tillgängligheten av One UI 6.1, vilket tar med Galaxy AI till ännu fler Galaxy-smartphones och surfplattor. Galaxy AI, de premium mobila AI-upplevelserna som introducerades på den senaste Galaxy S24-serien, kommer att utvidgas till Galaxy S22-serien, de vikbara telefonerna Galaxy Z Fold4 och Galaxy Z Flip4, och surfplattorna i Galaxy Tab S8-serien. Uppdateringen av One UI 6.1 inför följande populära Galaxy AI-funktioner för fler användare runt om i världen: Circle to Search med Google, Chat Assist, tolk, Live Translate, Note Assist, Transcript Assist, Browsing Assist, Generative Edit, Edit Suggestion och AI-Generated Wallpaper. Uppdateringen börjar rullas ut från början av maj på Galaxy S22-serien, Galaxy Z Fold4, Galaxy Z Flip4 och Galaxy Tab S8-serien.

Direktlänk Dela Samsung Newsroom fördjupade 15 april

Sydkoreas regering lanserar AI-strategiråd

Sydkoreas regering har lanserat ett råd för artificiell intelligens-strategi, tillsammans med stora inhemska teknikföretag som Naver Corp. och Kakao Corp., för att utveckla AI-policyer och riktlinjer i samarbete med den privata sektorn. Rådet, som består av 32 IT- och digitala experter och beslutsfattare, kommer att diskutera AI-relaterade frågor och föreslå nya policyer. Regeringen planerar att investera totalt 710,2 miljarder won ($525 miljoner) i 69 inhemska AI-projekt 2024 för att utnyttja AI-teknologi inom olika industrier och sociala tjänster.

Direktlänk Dela Korea Economic Daily Global fördjupade 5 april
3 000+ kursdeltagare från svenska företag och offentliga verksamheter
har gått vår kurs "AI på jobbet".

Samsung planerar att uppgradera Bixby med ChatGPT-liknande AI-funktioner

Samsung planerar att uppgradera sin röstassistent Bixby med ChatGPT-liknande AI-funktioner, enligt en högt uppsatt chef på Samsung Electronics. Denna förmåga kommer att drivas av företagets generativa AI. Bixby, som lanserades 2017, har inte kunnat matcha intelligensen hos Google Assistant, Amazon Alexa eller Apple Siri. Nu vill Samsung förbättra dess förmågor med hjälp av generativ AI och LLM. Samsung lanserade sin egen AI-modell kallad Samsung Gauss och några av funktionerna i Galaxy AI ligger bakom detta. Samsung klargjorde att Galaxy AI och Bixby kommer att fortsätta att samexistera och att Bixby och SmartThings kommer att få AI-drivna förbättringar snart.

Direktlänk Dela 91mobiles fördjupade 2 april

Samsung planerar att förbättra Bixby med Generative AI och LLM

Samsung introducerade tidigare i år Galaxy AI, en svit av AI- och Generative AI-drivna funktioner, med Galaxy S24. Många av dessa funktioner har nu nått äldre flaggskepp som Galaxy S23 med One UI 6.1-uppdateringen. Många förväntade sig att Generative AI skulle driva Bixby, men det har ännu inte inträffat. Enligt en ny rapport kommer det dock att hända snart. En hög chef från Samsung Electronics avslöjade att Bixby kommer att få ChatGPT-liknande chatbot-funktioner, drivna av Generative AI. Samsung har inte avslöjat några specifika detaljer.

Direktlänk Dela SamMobile fördjupade 1 april

Asiatiska AI-aktier lockar med utdelningar, säger Jupiter Asian Income

Trots oro för övervärderade asiatiska aktier inom artificiell intelligens (AI), rekommenderar Sam Konrad, medchef för Jupiter Asian Income, att fortsätta investera i dem på grund av deras utdelningar. Konrad menar att teknikbolagen de äger i Asien alla har en nettokassabalansräkning, alla betalar utdelning idag och förväntas öka sina utdelningar i takt med att deras vinster växer de närmaste åren. Detta skiljer sig från amerikanska motsvarigheter som inte har samma fokus på utdelningar. Jupiter Asian Income har ökat sina teknikinnehav till rekordhöga 32%, med Taiwan Semiconductor Manufacturing Co. och Samsung Electronics Co. som de största investeringarna.

Direktlänk Dela Yahoo Finance fördjupade 1 april

Tio AI-aktier som kommer att skjuta i höjden

AI-aktier har haft en stark tillväxt de senaste 18 månaderna, med NVIDIA Corporation som ett framstående exempel med en aktieökning på 518% sedan slutet av 2022. Denna tillväxt drivs av en ökad användning av stora språkmodeller och bild- och videogenereringsprogramvara, vilka har enorma databehov. Detta har lett till en omstrukturering inom halvledarindustrin, där endast ett fåtal företag, som Intel Corporation, Taiwan Semiconductor Manufacturing Company Limited och Samsung Electronics Co., Ltd., kan tillverka avancerade AI-chips. Dessutom har Microsoft Corporation och OpenAI planer på att bygga en AI-superdator värd 100 miljarder dollar. Andra aktörer inom AI-sektorn inkluderar Cognition Labs, som utvecklar ett AI-baserat verktyg för att skriva kod, och Meta Platforms, Inc., som har sett en aktieökning på 129% under det senaste året.

Direktlänk Dela Yahoo Finance fördjupade 31 mars

Samsungs VD förutspår andra generationens Mach-2 AI-accelerator

Samsung Electronics VD, Kyung Kye-hyun, har hintat om en andra generation av företagets egenutvecklade Mach-2 AI-accelerator i ett nytt Instagram-inlägg. Enligt Kyung ökar kundernas intresse för Mach-1, och vissa vill använda Mach i storskaliga applikationer med mer än 1 biljon parametrar, vilket motiverar den snabbare än förväntade utvecklingen av Mach-2. Den nya Mach-1 AI-acceleratorn tillkännagavs nyligen vid Samsung Electronics aktieägarmöte, men få detaljer släpptes. Den kommer att användas för AI-inferens och lanseras i början av 2025.

Direktlänk Dela TweakTown fördjupade 30 mars

Samsung Electronics CEO antyder utveckling av andra generationens Mach AI-chip

Samsung Electronics VD Kyung Kye-hyun har antytt utvecklingen av den andra generationen av företagets egna ´Mach´ AI-chip. Enligt Kyung ökar kundintresset för det första Mach-chipet, Mach-1, och vissa kunder vill använda Mach i storskaliga applikationer med mer än 1 biljon parametrar. Detta motiverar den snabbare än förväntade utvecklingen av Mach-2. Kyung nämnde också att Samsung är nära att ta ledningen inom högkapacitetsminnen (HBM).

Direktlänk Dela Korea JoongAng Daily fördjupade 29 mars

Ex-Google AI-chef leder Samsungs AGI-äventyr, siktar på samarbeten med OpenAI och Meta

Samsung Electronics har lanserat sin AGI (Artificial General Intelligence) verksamhet. Enligt rapporter kommer Kye Hyun-Kyung, chef för Samsungs Device Solutions (DS) division, att etablera ´AGI Computing Labs´ i USA och Sydkorea. Dr. Dong-hyuk Woo, en veteran inom systemhalvledare och tidigare ledare för Google AI-chipdivision, kommer att leda denna insats. Woo kommer att fokusera på utvecklingen av specialiserade halvledare för AGI. Samsung planerar också att introducera nya chipdesigner genom snabba iterationer. Dessutom är samarbeten med internationella aktörer som Meta och Open AI möjliga.

Direktlänk Dela Digital Times fördjupade 25 mars

Indien är nästa stora lekplats för AI: Samsungs VD

Samsung Electronics vice ordförande, VD och chef för enhetsupplevelse (DX) divisionen, Jaong-Hee (JH) Han, besökte Samsung BKC i Jio World Plaza, Mumbai, för första gången sedan butikens öppning. Han sa att Indien är nästa stora lekplats för artificiell intelligens (AI). Han betonade företagets engagemang för den indiska marknaden genom att erbjuda AI och hyperanslutning till sina teknikintresserade konsumenter. Han sa också att Indien är en av de största och snabbast växande marknaderna globalt och erbjuder en stor möjlighet för Samsung.

Direktlänk Dela Mid-Day fördjupade 22 mars

Samsung planerar att introducera Galaxy AI-funktioner till äldre mobiltelefoner

Vid Samsung Electronics 55:e årliga aktieägarmöte meddelade Roh Tae-moon, chef för mobilverksamheten, företagets avsikt att introducera Galaxy AI-funktioner till äldre mobiltelefoner som Galaxy S22. Detta är en del av Samsungs mål att implementera ´hybrid AI´, en fusion av molnbaserad AI och AI-teknik på enheten, som starkt är beroende av hårdvaruprestanda. Företaget planerar att nå cirka 100 miljoner Galaxy mobiltelefoner globalt 2024. Dessutom bekräftade Patrick Chomet, chef för Samsungs kundupplevelseavdelning, att äldre modeller som släpptes före 2023 inte kommer att lämnas efter när det gäller att ta emot Galaxy AI-funktioner.

Direktlänk Dela Gizchina fördjupade 21 mars

Samsung Electronics avslöjar nya Galaxy Book4-serien

Samsung Electronics har avtäckt sin senaste produkt, Galaxy Book4-serien, som bygger på framgången med den ikoniska Galaxy Book3-serien av bärbara datorer. Den nya serien består av tre kraftfulla modeller: Galaxy Book4 Pro 360, Galaxy Book4 Pro och Galaxy Book4 360. Varje modell lovar att revolutionera hur du arbetar och tar dig till nya nivåer av kreativitet. Galaxy Book4-serien kommer med banbrytande hårdvara och mjukvara som sätter nya standarder för moderna datorer med sin ultrabärbara design, förbättrade prestanda och en boost av artificiell intelligens för förnyade produktivitetsnivåer.

Direktlänk Dela Hindustan Times fördjupade 14 mars
3 000+ kursdeltagare från svenska företag och offentliga verksamheter
har gått vår kurs "AI på jobbet".

Qualcomms nya chipset kan integrera nästa generations minnesteknik

Qualcomm kan bli första företaget att använda nästa generations minnesteknik inriktad på AI, enligt koreanska nyhetspublikationen Ajunews. Det växer spekulationer om att Qualcomms kommande flaggskeppschipset, Snapdragon 8 Gen 4, kan vara bland de första att integrera nästa generations LPDDR6-minnesteknik. Det förväntas att Qualcomm kommer att avtäcka Snapdragon 8 Gen 4-chipsetet i oktober. Detta chipset förväntas driva nästa generation av flaggskepp Android-telefoner, inklusive Samsung Electronics Galaxy S25-serien och OnePlus 13, bland andra. Nvidia och American Micro Devices kommer också att få använda en mer avancerad version av flashminne - GDDR7 - för deras nästa generation av GPU:er.

Direktlänk Dela Benzinga fördjupade 13 mars

Samsung planerar att använda SK Hynix chipframställningsteknik

Samsung Electronics planerar att använda en chipframställningsteknik som förespråkas av konkurrenten SK Hynix, i ett försök att komma ikapp i kapplöpningen om att producera högkvalitativa chips för artificiell intelligens. Samsung har tidigare använt en teknik som kallas icke-konduktiv film (NCF), men har nu utfärdat inköpsorder för utrustning som kan hantera MUF-tekniken. Trots detta har Samsung förnekat ryktena om att de kommer att använda MR-MUF i sin HBM-produktion. Samsungs HBM3-chipproduktion har en utbyte på cirka 10-20%, medan SK Hynix har säkrat en utbytesgrad på cirka 60-70% för sin HBM3-produktion.

Direktlänk Dela Yahoo Finance fördjupade 13 mars

Samsung introducerar världens första AI-smartphone med flerspråkig översättningsfunktion

Samsung introducerade världens första AI-smartphone, Galaxy S24-serien, vid sin årliga flaggskeppsproduktlansering i San Jose, Kalifornien. Denna produktserie stoltserar med flera AI-funktioner, inklusive AI-ögonblicklig samtidig översättning, AI-anteckningsorganisation och ´Circle to Search´. En anmärkningsvärd funktion är röstögonblicklig tolkning + textöversättning, som nu stöder 13 språk. Tack vare AI-tekniken kan denna Samsung-telefon bryta igenom språkbarriärer genom att erbjuda synkron översättning inom ett visst område. Samsungs lansering av denna AI-telefon motsvarar att utmana sina konkurrenter, vilket höjer ribban betydligt för nästa generation av smartphones.

Direktlänk Dela CEOWORLD Magazine fördjupade 8 mars

SK Hynix ökar investeringarna i avancerad chipförpackning

SK Hynix Inc. ökar sina investeringar i avancerad chipförpackning i syfte att fånga en större del av den växande efterfrågan på en avgörande komponent inom utvecklingen av artificiell intelligens: högbandbreddminne (HBM). Företaget, baserat i Icheon, investerar mer än $1 miljard i Sydkorea i år för att utvidga och förbättra de sista stegen av sin chip-tillverkning, enligt Lee Kang-Wook, tidigare ingenjör på Samsung Electronics Co. som nu leder förpackningsutvecklingen på SK Hynix. Lee specialiserar sig på avancerade sätt att kombinera och koppla samman halvledare, vilket har blivit allt viktigare med framväxten av modern AI.

Direktlänk Dela Yahoo Finance fördjupade 7 mars

Mark Zuckerberg planerar intensiv vecka i Asien för att arbeta med AI och stärka banden med ledare

Mark Zuckerberg, VD för Meta Platforms Inc., planerar en intensiv vecka i Asien med stopp i Japan, Sydkorea och Indien för att arbeta med artificiell intelligens (AI) och stärka banden med företags- och regeringsledare i regionen. Han kommer att träffa företagets utvecklare i Tokyo för att diskutera företagets Quest virtual reality-headset och dess stora språkmodell Llama. I Seoul kommer han möta partners, Sydkoreas president Yoon Suk Yeol, Samsung Electronics Co: s ordförande Jay Y. Lee och LG Electronics Inc: s VD. Efter Seoul planerar Zuckerberg att resa till Jamnagar, Gujarat i västra Indien för att delta i förbröllopsfirandet av miljardären Mukesh Ambanis yngsta son, Anant Ambani.

Direktlänk Dela Yahoo Finance fördjupade 26 februari

Samsung Electronics och Arm samarbetar om nästa generationens Cortex-X CPU

Samsung Electronics kommer att leverera nästa generationens Arm Cortex-X CPU, optimerad med Samsung Foundrys senaste Gate-All-Around (GAA) process-teknik. Detta initiativ bygger på flera års partnerskap och miljontals enheter som levererats med Arm CPU intellektuell egendom (IP) på olika processnoder som erbjuds av Samsung Foundry. Företagen har ambitiösa planer för att uppfinna 2nm GAA för nästa generations datacenter och infrastruktur, samt en banbrytande AI-chiplet-lösning som kommer att revolutionera framtida generativa AI-mobilmarknaden.

Direktlänk Dela DealStreetAsia fördjupade 23 februari

Samsung och Arm samarbetar för att utveckla nästa generations Cortex-X CPU

Samsung Electronics har meddelat ett partnerskap med brittiska halvledar- och mjukvarudesignföretaget Arm för att utveckla nästa generations Cortex-X CPU. Samarbetet förväntas förbättra processorns prestanda och energieffektivitet, som kommer att tillverkas med Samsung Foundrys senaste Gate-All-Around (GAA) processnod. Detta markerar det första steget i en långsiktig förståelse mellan företagen för att ´uppfinna om´ 2-nanometer GAA för nästa generations datacenter och infrastruktursilikon. De kommer också att arbeta tillsammans på en ny AI-chiplet-lösning.

Direktlänk Dela TechSpot fördjupade 21 februari

OpenAI-chef söker godkännande för global AI-chipproduktion

OpenAI:s VD, Sam Altman, rapporteras söka godkännande från den amerikanska regeringen för ett initiativ som syftar till att förbättra den globala tillverkningen av artificiella intelligens-chip. Detta kan väcka nationella säkerhets- och konkurrensfrågor i Washington. Altman har varit aktiv i att engagera potentiella investerare och partners i USA, Mellanöstern och Asien, men har betonat vikten av att få godkännande från Washington innan han fortsätter. Han har haft möten med nyckeltjänstemän, inklusive handelssekreterare Gina Raimondo, för att diskutera sin plan att utöka världens kapacitet att tillverka AI-datorkretsar.

Direktlänk Dela PYMNTS fördjupade 17 februari

OpenAI-chefens plan att omforma den globala halvledarindustrin

OpenAI:s VD Sam Altman har planer på att omforma den globala halvledarindustrin genom att investera enorma summor i att bygga och driva nya fabriker, samt investera i energi och annan AI-infrastruktur. Det finns dock bara tre företag i världen som kan tillverka de mest avancerade chippens i stora volymer: Taiwan Semiconductor Manufacturing Co., Samsung Electronics och Intel. Trots detta finns det osäkerheter kring att hitta ingenjörer för att driva de nya fabrikerna, säkra tillräckligt med beställningar för att rättfärdiga dem och andra faktorer. Dessutom kan en överskott av nya chipfabriker driva ner priserna och leda till att företag kör fabrikerna mycket under deras tillverkningskapacitet.

Direktlänk Dela Mint fördjupade 13 februari

OpenAI genererar 100 miljarder ord per dag, söker biljoner dollar för AI och chipframtid

OpenAI, företaget bakom ChatGPT, genererar för närvarande cirka 100 miljarder ord per dag, enligt dess medgrundare och VD Sam Altman. Altman avslöjade att han söker biljoner dollar för att omforma framtiden för chipteknik och artificiell intelligens (AI). Han påpekade att han behöver fler grafikprocessorer (GPU:er) för att uppnå det massiva antal ord som mänskligheten genererar. Altman är i samtal med investerare, inklusive UAE:s regering, för att höja medel till ett teknikinitiativ som skulle öka världens chipbyggande kapacitet.

Direktlänk Dela Zee Business fördjupade 10 februari

TSMC och SK hynix bildar AI-allians för att motverka Samsungs marknadsinflytande

TSMC och SK hynix bildar en AI-allians för att tillsammans utveckla framtidens teknik, inklusive HBM4-utveckling för NVIDIAs och AMDs nästa generations GPU:er. Alliansen, som kallas ´One Team´, syftar till att motverka konkurrensen inom industrin genom att utveckla nya produkter före andra. Fokus ligger på att utveckla nästa generation av HBM-minne, det avancerade HBM4, som har stor potential att revolutionera AI-segmentets databehandlingskapacitet och förväntas driva nästa generations NVIDIA AI GPU:er. Alliansen är också ett svar på Samsung Electronics växande inflytande på marknaderna.

Direktlänk Dela Wccftech fördjupade 9 februari

Ljummet intresse för Samsungs AI-integrerade smartphones i Kina

Samsung Electronics senaste drag att integrera sina flaggskeppssmartphones med artificiell intelligens (AI) teknologi från den kinesiska sökjätten Baidu har fått ett ljummet intresse från konsumenter i Kina. Samsungs Kina-division och Baidu AI Cloud meddelade i slutet av januari ett strategiskt partnerskap, där Samsungs Galaxy S24-serie kommer att använda Baidus Ernie storspråksmodell (LLM) och sökmotor för att stödja handenheternas AI-sökfunktioner. Många kinesiska konsumenter har uttryckt oro på sociala medier över att sökfunktionerna på den lokala versionen av Galaxy S24-serien kanske inte är lika bra som de på den internationella versionen.

Direktlänk Dela South China Morning Post fördjupade 4 februari

GSMA och IBM samarbetar om AI-utbildning, nya möteslösningar av Cisco, Microsoft och Samsung, Freshworks samarbetar med AWS, Simplyhealth använder Salesforce, KQC anlitar IBM

GSMA och IBM har meddelat ett nytt samarbete för att stödja införandet och kompetenserna av generativ AI i telekomindustrin genom lanseringen av GSMA Advance´s AI-utbildningsprogram och GSMA Foundrys generativa AI-program. På Integrated Systems Europe (ISE) 2024 meddelade Cisco, Microsoft Corp. och Samsung Electronics Co. Ltd. nya mötesrums-lösningar för att leverera förbättrade samarbetsupplevelser för hybridmöten. Freshworks Inc. har meddelat att det har undertecknat ett flerårigt strategiskt samarbetsavtal (SCA) med Amazon Web Services (AWS) för att utveckla och expandera Freshworks kapacitet på AWS. Simplyhealth använder Salesforce Einstein, Service Cloud och Sales Cloud för att driva produktivitet över hela företaget genom AI-drivna kundupplevelser. IBM meddelade att Korea Quantum Computing (KQC) har anlitat IBM för att erbjuda IBM:s mest avancerade AI-programvara och infrastruktur, samt kvantdatortjänster.

Direktlänk Dela AiThority fördjupade 30 januari

AI inom marknadsföring förväntas nå 196,2 miljarder dollar 2030

En rapport från ResearchAndMarkets.com betonar den enorma potentialen för artificiell intelligens (AI) inom marknadsföring. Rapporten visar att den globala marknaden, som värderades till 30,9 miljarder dollar 2022, förväntas öka till 196,2 miljarder dollar 2030, vilket motsvarar en årlig tillväxttakt på 26%. AI-programvarusektorn förväntas växa med 23,9% årligen och nå 76,1 miljarder dollar vid analysperiodens slut. USA, värderat till 9,3 miljarder dollar, och Kina, som förväntas växa med 25,1% årligen och bli en marknad på 33,3 miljarder dollar 2030, är framträdande marknader. Rapporten identifierar också Japan och Kanada samt Europa, med Tyskland i fokus, som betydande bidragsgivare till sektorns expansion.

Direktlänk Dela Yahoo Finance fördjupade 30 januari

AI inom marknadsföring förväntas nå 196,2 miljarder dollar år 2030

Enligt en rapport från ResearchAndMarkets.com kommer den globala marknaden för artificiell intelligens (AI) inom marknadsföring att öka från 30,9 miljarder dollar 2022 till 196,2 miljarder dollar 2030, vilket motsvarar en årlig tillväxttakt (CAGR) på 26%. AI-programvarusegmentet förväntas uppleva en CAGR på 23,9% och nå 76,1 miljarder dollar vid analysperiodens slut. Samtidigt förväntas AI-hårdvaruframsteg med en robust förväntad CAGR på 25,7% fram till 2030. USA, som för närvarande värderas till 9,3 miljarder dollar, och Kina, som förväntas öka med en CAGR på 25,1%, är marknader av stor betydelse. Rapporten identifierar också andra geografiska områden som bidrar betydligt till sektorns expansion, inklusive Japan och Kanada, med förväntad tillväxt på 23,1% respektive 21% CAGR.

Direktlänk Dela GlobeNewswire fördjupade 30 januari

OpenAI:s VD Sam Altman besöker Samsungs och SK hynix chipfabriker för potentiellt samarbete

Sam Altman, VD för OpenAI som tillverkar ChatGPT, besökte Samsung Electronics chipfabrik i Pyeongtaek, Gyeonggi-provinsen, för att diskutera gemensam utveckling av artificiella intelligens (AI) chips. Altman träffade Kyung Kye-hyun, president för Samsungs halvledardivision, och andra höga chefer. Detta är anmärkningsvärt då Samsung vanligtvis inte visar sina halvledarproduktionslinjer för utomstående. Efter besöket hos Samsung, höll Altman ett separat möte med SK hynix VD Kwak No-jeong. Det sägs också att Altman skulle träffa SK Group ordförande Chey Tae-won innan han lämnade Sydkorea.

Direktlänk Dela Gadget Tendency fördjupade 29 januari

OpenAI:s VD Sam Altman möter ledare från Samsung och SK Hynix

Sam Altman, VD för OpenAI, besökte nyligen Seoul för att träffa ledande chefer från Samsung Electronics och SK Hynix, världens två största tillverkare av minneschips. Detta har lett till spekulationer om att Altman söker allierade för att konkurrera med Nvidia, den ohotade marknadsledaren inom AI-chips. OpenAI planerar att tillverka sina egna AI-processorer och få större kontroll över leveranskedjor. Nvidia producerar för närvarande över 90% av tillgångarna. OpenAI är känt för att ha köpt över 10 000 enheter. Altman har regelbundet uttryckt oro över chipbrist.

Direktlänk Dela EconoTimes fördjupade 28 januari

OpenAI:s VD söker allianser med Samsung och SK hynix

Sam Altman, VD för OpenAI, besökte Seoul förra veckan för att hålla möten med höga chefer för Samsung Electronics och SK hynix, världens två största tillverkare av minneschips. Besöket väcker spekulationer om att Altman söker allierade för att utmana Nvidia, den ohotade marknadsledaren för AI-chips. För Samsung och SK skulle en eventuell allians ge dem möjlighet att förbättra sin position i den intensiva konkurrensen om nästa generations chips.

Direktlänk Dela The Korea Herald fördjupade 28 januari

OpenAIs VD Sam Altman möter ledare för halvledarindustrin i Sydkorea

Sam Altman, VD för OpenAI, är i Sydkorea för att möta ledare inom halvledarindustrin, särskilt från Samsung Electronics och SK Hynix. Hans besök fokuserar främst på att utforska potentiella partnerskap för hans AI-chipproduktionsföretag. Han planerar att träffa chefer från flera Samsung-divisioner, inklusive System LSI-enheten som designar chips, Samsung Semiconductor som producerar DRAM och 3D NAND-minne, samt Samsung Foundry som bygger chips under kontrakt. Han ska också träffa VD:n för SK Hynix, en betydande minnestillverkare, och ordföranden för SK Group.

Direktlänk Dela Tom´s Hardware fördjupade 27 januari

OpenAI överväger att etablera globalt nätverk för AI-chipproduktion i Sydkorea

OpenAI, skaparen av ChatGPT, kan komma att inrätta ett globalt nätverk för tillverkning av artificiella intelligens (AI) chip-enheter i Sydkorea. Företagets VD, Sam Altman, är rapporterat i landet för att diskutera detta med industriledare och samarbeta med Koreas ledande chipmakare. Altman har redan besökt Samsung Electronics i södra Seoul och träffat Kyung Kye-hyun, som leder chipverksamheten på det koreanska företaget. Detta är OpenAIs VDs andra besök i Korea och han ska även interagera med SK Hynix VD Kwak Noh-jung och SK Groups ordförande Chey Tae-won.

Direktlänk Dela Business World fördjupade 27 januari

OpenAI:s VD Sam Altman besöker Sydkorea för möten med Samsung och SK Hynix

OpenAI:s VD Sam Altman har anlänt till Sydkorea för att träffa ledarna för chipjättarna Samsung Electronics och SK Hynix. Båda företagen är bland de få globala företag som tillverkar premium High Bandwidth Memory (HBM) chips skräddarsydda för AI-processorer. Altman besökte Samsung Electronics halvledarproduktionslinje i Pyeongtaek och träffade företagets med-VD Kyung Kye-hyun. Under tvådagarsbesöket kommer han också att träffa SK Hynix VD Kwak Noh-jung och ordförande Chey Tae-won. Besöket kan visa sig betydande för AI-industrins framtida lönsamhet, enligt Neil Shah, vice VD för Counterpoint Research.

Direktlänk Dela Tech Xplore fördjupade 26 januari

Samsung Electronics och SK hynix dominerar global HBM-chipmarknad

Sydkoreanska chipstillverkare Samsung Electronics och SK hynix är två av få företag i världen som producerar premium high bandwidth-minneschips (HBM), anpassade för AI-processorer. Deras kombinerade marknadsandel på den globala HBM-marknaden uppgår till mer än 90 procent.

Direktlänk Dela Latestly.com fördjupade 26 januari

OpenAI:s VD Sam Altman besöker Sydkorea för att diskutera globalt nätverk för AI-chipproduktion

Sam Altman, VD för det amerikanska företaget OpenAI, befinner sig i Sydkorea för att diskutera etableringen av ett globalt nätverk för produktion av artificiella intelligens (AI) chip. Altman syftar till att främja samarbete med ledande sydkoreanska chiptillverkare, inklusive Samsung Electronics och SK hynix, för att göra betydande framsteg på den konkurrensutsatta AI-chipmarknaden, som för närvarande domineras av den amerikanska teknikjätten Nvidia Corp. Samsung Electronics och SK hynix är nyckelspelare på den globala AI-chipmarknaden och har en marknadsandel på mer än 90 procent på den globala HBM-marknaden.

Direktlänk Dela Cryptopolitan fördjupade 26 januari

OpenAI:s VD besöker Sydkorea för att diskutera globalt nätverk för AI-chip tillverkning

Sam Altman, VD för amerikanska företaget OpenAI, har besökt Sydkorea för att diskutera möjligheter att etablera ett globalt nätverk för tillverkning av AI-chip med ledande chipstillverkare i landet. Altman besökte Samsung Electronics produktionslinje för halvledare i Pyeongtaek och träffade Kyung Kye-hyun, chef för chipverksamheten på det koreanska företaget. Han ska också träffa SK hynix VD Kwak Noh-jung och SK Group ordförande Chey Tae-won. Altman söker ett nytt partnerskap för att utmana AI-chipmarknaden, som huvudsakligen domineras av amerikanska Nvidia Corp. Sydkoreanska chiptillverkare Samsung Electronics och SK hynix producerar premium HBM-chip för AI-processorer.

Direktlänk Dela Siasat Daily fördjupade 26 januari

Sam Altman, VD för OpenAI, besökte en fabrik för halvledare hos Samsung Electronics i Pyeongtaek, Gyeonggi-provinsen, för att diskutera gemensam utveckling av AI-chip. Han träffade bland annat Kyung Kye-hyun, chef för Samsungs halvledarenhet. Altman förväntades även träffa Samsungs verkställande styrelseordförande Lee Jae-yong, men en kommande rättslig prövning för Lee skapade problem för ett möte. Altman träffade även SK hynix VD Kwak Noh-jung. OpenAI, som tidigare varit beroende av Nvidia, söker nu samarbeten för att minska detta beroende.

The Korea Times fördjupade 26 januari

OpenAI:s VD söker investerare för globalt nätverk av halvledarfabriker

OpenAI:s VD Sam Altman söker aktivt att etablera ett globalt nätverk av halvledarfabriker, enligt rapporter. Altman har diskuterat att samla in miljarder från investerare för ett nytt chipföretag. Initiativet syftar till att möta den växande efterfrågan på AI-relaterade chips, som förväntas överstiga tillgången. Altman har närmat sig potentiella investerare, inklusive Abu Dhabi-baserade G42 och SoftBank Group, för att säkra det nödvändiga kapitalet för chipproduktionsanläggningar. Diskussionerna är i preliminära skeden, och en definitiv lista över partners och finansiärer är ännu inte bekräftad.

Direktlänk Dela Investment Monitor fördjupade 23 januari

OpenAI:s VD söker investerare för globalt nätverk av halvledarfabriker

OpenAI:s VD Sam Altman söker aktivt att etablera ett globalt nätverk av halvledarfabriker. Altman har fört diskussioner för att höja miljarder från investerare för ett nytt chipföretag. Initiativet syftar till att möta den växande efterfrågan på artificiell intelligens (AI)-relaterade chips, vilket nuvarande prognoser tyder på kommer att överstiga tillgången. Potentiella investerare inkluderar Abu Dhabi-baserade G42 och SoftBank Group. Diskussionerna är i preliminära skeden och en definitiv lista över partners och finansiärer är ännu inte bekräftad.

Direktlänk Dela Verdict Media fördjupade 22 januari

OpenAI:s VD Sam Altman samlar in miljarder för globalt chipföretag

OpenAI:s VD Sam Altman är rapporterat i processen att samla in miljarder dollar för ett chipföretag som syftar till att etablera ett nätverk av halvledartillverkningsanläggningar globalt. Altman har diskuterat med flera potentiella stora investerare för att säkra de betydande medel som krävs för dessa fabriker. Projektet innebär samarbete med ledande chip-tillverkare och skapandet av ett världsomspännande nätverk av fabriker. Abu Dhabi-baserade G42 och Japans SoftBank Group är bland företagen som har haft preliminära samtal med Altman om det ambitiösa företaget.

Direktlänk Dela IT Voice Media fördjupade 22 januari

Mobil AI-marknaden förväntas nå 39,91 miljarder dollar till 2028

Integrationen av artificiell intelligens (AI) och maskininlärning (ML) i mobila enheter har katalyserat en revolution och format riktningen för mobil AI-marknaden. Marknaden för mobil AI uppskattades till 12,18 miljarder dollar 2023 och förväntas nå 39,91 miljarder dollar 2028, med en årlig tillväxttakt (CAGR) på 26,78% under prognosperioden. Denna tillväxt drivs av flera nyckelfaktorer. Programvarusegmentet leder utvecklingen, med tekniker som Natural Language Processing (NLP) och maskininlärningsalgoritmer som spelar en central roll. Marknadens bana påverkas avsevärt av stora investeringar i AI-startups från teknikjättar som Qualcomm Technologies, Samsung Electronics och Intel Corporation. Efterfrågan på AI i företagsapplikationer framträder som en stark katalysator för marknadstillväxt. Dessutom förväntas den globala penetrationen av smartphones nå 4 miljarder användare 2025, vilket gör integrationen av AI-teknik i dessa enheter alltmer allmän.

Direktlänk Dela Analytics Insight fördjupade 22 januari

Sam Altman försöker samla in pengar för att bygga globala chipfabriker för AI

Sam Altman, tidigare VD för OpenAI, försöker att samla in pengar för att bygga en global nätverk av chipfabriker för att tillgodose den ökande efterfrågan på AI-relaterade chip. Projektet, vars omfattning och inriktning tidigare varit okänd, involverar samarbeten med ledande chip-tillverkare. Företag som har diskuterat projektet med Altman inkluderar Abu Dhabi-baserade G42 och SoftBank Group. Byggandet och underhållandet av chipfabriker är dyrare än metoden som föredras av många i AI-industrin, som Amazon.com, Google och Microsoft, som vanligtvis fokuserar på att designa egen kisel och sedan outsourca tillverkningen. Diskussionerna med G42 fokuserade på att samla in 8-10 miljarder dollar.

Direktlänk Dela South China Morning Post fördjupade 22 januari

OpenAI:s VD Sam Altman söker investerare för utveckling av AI-chips

Qadir Ak, grundaren av Coinpedia, har över ett decenniums erfarenhet av att skriva om teknik och har bevakat blockchain- och kryptocurrency-området sedan 2010. Enligt den senaste rapporten från Bloomberg har OpenAI:s VD, Sam Altman, haft intensiva samtal med potentiella investerare om sin nya strategi för utveckling av AI-chips. Sam Altman planerar att samla in miljarder dollar för att förverkliga sin vision om att bygga upp ett unikt nätverk av fabriker för tillverkning av AI-chips. Fabrikerna tillverkar halvledare. Dessutom har META:s VD Mark Zuckerberg meddelat att han vill investera i AI-chips för att förbättra teknikinfrastrukturen.

Direktlänk Dela Coinpedia fördjupade 20 januari

OpenAI:s VD Sam Altman siktar på att etablera halvledartillverkningsanläggningar

OpenAI:s VD Sam Altman planerar att använda insamlade medel för att etablera en serie halvledartillverkningsanläggningar. Altman har diskuterat med potentiella investerare för att säkra de betydande medel som krävs för att etablera dessa anläggningar. G42 i Abu Dhabi och Japans SoftBank Group är i tidiga diskussioner med Altman. Intel, Taiwan Semiconductor Manufacturing Co och Samsung Electronics listades som potentiella samarbetspartners för OpenAI. Meta VD Mark Zuckerberg meddelade också att företaget planerar att investera mycket i specialiserade datorchips för att bygga och leverera nya generativa AI-modeller och produkter.

Direktlänk Dela Cointelegraph fördjupade 20 januari

OpenAI:s VD söker miljarder för chipföretag

OpenAI:s VD Sam Altman söker rapporterat miljarder dollar för ett banbrytande chipföretag. Altman planerar att använda pengarna för att etablera ett nätverk av fabriker över hela världen som är dedikerade till tillverkning av halvledare. Fabrikerna som Altman eftersträvar förväntas samarbeta med ledande chiptillverkare. Altman har diskuterat med potentiella investerare, inklusive framstående enheter som Abu Dhabi-baserade G42 och Japans SoftBank Group. Nyckelspelare inom halvledarindustrin, som Intel, taiwanesiska chipmakaren Taiwan Semiconductor Manufacturing Co (TSMC) och Samsung Electronics, övervägs som potentiella partner för OpenAI i detta ambitiösa företag.

Direktlänk Dela Business World fördjupade 20 januari

OpenAI:s VD Sam Altman söker miljarder för att bygga AI-chipfabriker

OpenAI:s VD Sam Altman planerar att samla in miljarder dollar för att etablera ett nätverk av fabriker för tillverkning av artificiella intelligens (AI) chips, enligt en rapport från Bloomberg. Altman har nyligen förhandlat med potentiella stora investerare som Abu Dhabi-baserade G42 och Japans SoftBank Group. Diskussionerna är dock fortfarande i ett tidigt skede. En fullständig lista över potentiella partners och finansiärer som är involverade i projektet har ännu inte fastställts.

Direktlänk Dela Hindustan Times fördjupade 20 januari

Samsung och Google Cloud inleder partnerskap för att ta med AI-teknik till smartphones

Samsung och Google Cloud har meddelat ett flerårigt partnerskap för att introducera Googles generativa AI-teknik till Samsungs smartphone-användare globalt. Detta börjar med Samsung Galaxy S24-serien som presenterades idag på Galaxy Unpacked i San Jose, Kalifornien. Samsung blir därmed den första Google Cloud-partnern att distribuera Gemini Pro och Imagen 2 på Vertex AI via molnet till sina smartphone-enheter. Samsungs användare kommer att kunna dra nytta av sammanfattande funktioner över Notes, Voice Recorder och Keyboard. Galaxy S24-serien kan också dra nytta av Imagen 2, Googles mest avancerade text-till-bild-diffusionsteknik från Google DeepMind hittills.

Direktlänk Dela Shiny Shiny fördjupade 18 januari

Qualcomm Technologies driver Samsungs senaste flaggskepp Galaxy S24 Ultra

Qualcomm Technologies meddelade att deras premium Snapdragon 8 Gen 3 mobila plattform driver Samsung Electronics senaste flaggskepp Galaxy S24 Ultra globalt och Galaxy S24 Plus och S24 i utvalda regioner. Samsung Galaxy S24-serien introducerar Galaxy AI, som utnyttjar den nya och förbättrade Snapdragon 8 Gen 3 för Galaxy. Chris Patrick, Senior Vice President och General Manager för Qualcomm Technologies mobila handenhet, kommenterade att Snapdragon 8 Gen 3 för Galaxy införlivar sina avancerade AI-funktioner i Galaxy S24-serien för att möjliggöra nya upplevelser. Inkang Song, VP och chef för Technology Strategy team på Samsung Mobile, betonade det starka förhållandet mellan företagen.

Direktlänk Dela Benzinga fördjupade 17 januari

AI och neuronnätverk förändrar marknadsföring men behöver fortfarande mänsklig kontroll

AI och neuronnätverk används allt mer inom marknadsföring, men de behöver fortfarande mänsklig kontroll. De kan utföra vissa marknadsföringsuppgifter och spara tid och resurser för företag. AI har använts för att förbättra företagsbild och försäljning, till exempel genom att skapa en webbsystem för Yamaha Motor CIS-återförsäljarnätverket, vilket ökade webbplatsbesöken med 30% och försäljningsvolymen. AI har också använts för att förbättra kundinteraktion och försäljningseffektivitet för Samsung Electronics Rus Company genom att integrera Samsungs onlinebutik med företagets ryska divisionswebbplats. AI kan också användas för att analysera kunddata och rikta in sig på specifika målgrupper.

Direktlänk Dela Business Insider Africa fördjupade 17 januari

Samsungs nästa Galaxy-evolution avslöjas den 17 januari

Samsung Electronics kommer att avslöja sin nya produktlinje, drivet av Galaxy AI, den 17 januari på Galaxy Unpacked 2024. Inför evenemanget släppte Samsung en teaser-video, ´Galaxy AI is coming´, som visar företagets historia av mobilinnovation. Videon avslutas med en äldre par och en ung kvinna som diskuterar framtidens smartphones på en tunnelbana, vilket banar väg för lanseringen av Galaxy AI.

Direktlänk Dela Samsung Newsroom fördjupade 17 januari

Samsung visar upp innovativa teknologier och start-ups på CES 2024

På CES 2024, världens största elektronikmässa, visade Samsung Electronics upp innovativa teknologier och kreativa idéer utvecklade genom sitt interna venture- och start-up-accelerator, Samsung Creative Lab (C-Lab). Samsung presenterade sitt högsta antal start-ups och projekt hittills: totalt 15 projekt och start-ups visades upp, inklusive tio start-ups från C-Lab Outside, tre start-ups som skapats från interna ventures och två projekt från C-Lab Inside. Dessa start-ups, som spänner över områden som AI, hälsovård och energi, debuterade på den globala scenen - söker nya marknader samtidigt som de engagerar direkt med deltagare och köpare från hela världen.

Direktlänk Dela Samsung Newsroom fördjupade 12 januari

Samsung öppnar nya Galaxy Experience Spaces runt om i världen

Samsung Electronics meddelar att de öppnar nya Galaxy Experience Spaces runt om i världen för att visa hur Galaxy AI möjliggör nya sätt att koppla upp, skapa och spela. Utrymmena öppnas efter Galaxy Unpacked och kommer att fördjupa fans i Samsungs senaste innovationer i städer som Bangkok, Barcelona, Berlin, Dubai, London, New York, Paris och Seoul. Stephanie Choi, EVP & Head of Marketing of the Mobile eXperience Business på Samsung Electronics, uttryckte att dessa utrymmen kommer att ge Galaxy-fans den första möjligheten att se en ny era av innovation förstahands.

Direktlänk Dela Samsung Newsroom fördjupade 11 januari

Maskinlärning (ML) driver insikter och optimerar beslut över olika områden. Dock är bristen på experter som kan bygga och distribuera komplexa modeller ett hinder för att införa ML-verktyg. Nu finns det verktyg som gör avancerade ML-funktioner tillgängliga för icke-tekniska utövare, vilket demokratiserar tekniken. Dessa kodfria system underlättar användningsfall som prediktiv analys, dokumentklassificering, objektigenkänning och naturlig språkbearbetning. Trots detta, finns det fortfarande en brist på experter inom området. Företag kan dock lösa detta genom att använda kodfria ML-verktyg. Ett exempel på ett sådant verktyg är Amazon SageMaker Canvas.

Innovation & Tech Today fördjupade 8 januari

Samsung presenterar sin framtidsvision för AI och uppkoppling på CES 2024

På Consumer Electronics Show (CES) 2024 presenterade Samsung Electronics sin vision för den nya eran av artificiell intelligens (AI) och uppkoppling. Samsungs tema för CES 2024 var ´AI för alla: Uppkoppling i AI-eran´, vilket reflekterar företagets engagemang att demokratisera och personifiera AI för alla. Höjdpunkterna inkluderade den nya AI-drivna QLED TV-serien och 2024 Bespoke 4-Door Flex™-kylskåpet med AI Family Hub™+, som är det första hem-IoT-kylskåpet som kan känna igen och hantera matvaror inuti. Samsung avtäckte också sin vision för framtiden för AI-baserad livsstil.

Direktlänk Dela Analytics Insight fördjupade 8 januari

Samsung Electronics presenterar senaste skärm- och TV-sortimentet inför CES 2024

Samsung Electronics har presenterat sin senaste serie av QLED, MICRO LED, OLED och Lifestyle-skärmar inför CES 2024. Detta inkluderar den nya Neo QLED 8K, som drivs av en AI-processor och erbjuder förbättrad bild- och ljudkvalitet. Företaget presenterade också den första trådlösa 8K-projektorn, The Premiere 8K, och den anpassningsbara högtalaren Music Frame. Dessutom introducerade Samsung sin 2024 Tizen OS, som förbättrar hem-TV-upplevelsen genom att erbjuda en personlig innehålls- och tjänsteupplevelse baserad på olika konton inställda på smarta TV-apparater.

Direktlänk Dela Samsung Newsroom fördjupade 8 januari

Samsung Electronics lanserar en ny era av mobila innovationer

En ny era av revolutionerande mobilupplevelse börjar med de senaste Galaxy-innovationerna designade för att förvandla sättet du interagerar och skapar i ditt dagliga liv. Den nya Galaxy S-serien kommer att höja standarden för de smartaste mobilupplevelserna någonsin erbjudna. Samsung Electronics Unpacked-event kommer att äga rum i San Jose den 17 januari. Evenemanget kommer att sändas live på kanalen från kl. 21.00 turkisk tid.

Direktlänk Dela Railly News fördjupade 3 januari

Samsung Electronics kommer att presentera den senaste Galaxy S-serien

Samsung Electronics förbereder sig för att presentera en revolutionerande mobilupplevelse med de senaste innovationerna i Galaxy-serien. Den nya Galaxy S-serien kommer att sätta en högre standard för den mest intelligenta mobila upplevelsen hittills. Samsung kommer att vara värd för Unpacked i San Jose den 17 januari, där de kommer att avtäcka de senaste premium Galaxy-innovationerna, som drivs av AI. Evenemanget kommer att sändas live på Samsung.com och Samsungs YouTube-kanal.

Direktlänk Dela Samsung Newsroom fördjupade 3 januari

Mobil Artificiell Intelligens (MAI) Marknaden Upplever Betydande Tillväxt

Marknaden för mobil artificiell intelligens (MAI) har upplevt betydande tillväxt de senaste åren, med teknologisk innovation som en nyckelfaktor bakom tillväxten. Företag inom MAI-marknaden har utnyttjat verktyg som artificiell intelligens och Internet of Things för att förbättra effektiviteten och kundupplevelsen. Marknaden är mycket konkurrenskraftig, med både etablerade företag och nya aktörer. För att behålla en konkurrensfördel investerar viktiga företag konsekvent i forskning och utveckling. Detta har lett till en rad fusioner, förvärv och samarbeten, vilket förändrar marknadsdynamiken.

Direktlänk Dela Artrocker fördjupade 31 december

Samsung presenterar ny dammsugare med AI-teknologi på CES 2024

Samsung Electronics Co., Ltd. meddelar att de kommer att presentera en ny dammsugarserie med avancerad artificiell intelligens (AI) på CES 2024. Den nya dammsugaren, Bespoke Jet Bot Combo, är en robotdammsugare och mopp med förbättrade AI-funktioner och ångrengöring. Moohyung Lee, EVP och chef för kundupplevelseteamet för digitala apparater på Samsung Electronics, uttrycker entusiasm över den nya produkten. Bespoke Jet Bot Combo har förbättrad AI-objektigenkänning, kan känna igen rum och fläckar, undvika vissa områden och anpassa sin sugkraft efter golvet. Den är för närvarande under utveckling.

Direktlänk Dela Samsung Newsroom fördjupade 28 december

AI bekämpar graffiti-vandalism och Google planerar massavsked, medan Nvidia växer tack vare AI-boom

Historic England använder AI för att bekämpa graffiti-vandalism på historiska platser som kyrkor och slott. AI-tekniken används för att identifiera förövare genom deras taggar, spåra deras rörelser genom att jämföra graffiti på olika platser och analysera färger för att spåra ursprunget till sprejburkar. I andra nyheter kan upp till 30 000 anställda förlora sina jobb i en stor nedskärning hos Google, då företagets AI-innovation har gjort dessa positioner överflödiga. Nvidia är på väg att bli den nya intäktsledaren inom halvledarindustrin, bryta en tre decennier lång dominans av Intel och Samsung Electronics.

Direktlänk Dela Hindustan Times Tech fördjupade 24 december

IBM: En stark investering för 2024 och framåt

Ökningen av artificiell intelligens (AI) 2023 ledde till att många teknikaktier steg kraftigt. Ett företag som utmärker sig som en långsiktig investering är IBM. Trots att företaget tidigare kämpade med att hantera en omfattande organisation, har IBM under ledning av VD Arvind Krishna, som tidigare övervakade IBM:s moln- och AI-division, lyckats fokusera på AI och molnberäkning, vilket har lett till en positiv tillväxtbana för företaget. IBM:s intäkter ökade med 5% jämfört med föregående år till 14,8 miljarder dollar i tredje kvartalet. Företagets datalogi- och AI-division ökade intäkterna med 6% jämfört med föregående år, medan dess Red Hat molnberäkningslösning ökade med 9%. IBM har också en betydande konsultverksamhet som växte med 6% till 5 miljarder dollar. IBM:s arbete med AI-teknik sträcker sig tillbaka till 1950-talet och deras senaste AI-plattform, watsonx, lanserades i juli. Dessutom arbetar IBM inom det framväxande området kvantberäkning, vilket erbjuder nyckelteknik i AI:s utveckling. Trots konkurrens från andra välkända teknikföretag, som Microsoft, visar IBM:s intäktstillväxt att de framgångsrikt fångar sin andel av kunderna. Dessutom är IBM:s pris-till-vinst-förhållande (P/E-förhållande) under de senaste 12 månaderna strax under 22, medan Microsofts P/E-förhållande på 36 är betydligt högre, vilket tyder på att IBM är det bättre värdet. Dessutom erbjuder IBM en robust utdelning, för närvarande över 4%, vilket kan ge dig års passiv inkomst. Företaget har betalat utdelningar sedan 1916 och har en imponerande serie av utdelningsökningar under 28 på varandra följande år.

Direktlänk Dela The Motley Fool fördjupade 24 december

Samsung och Naver utmanar NVIDIA med energieffektiv AI-chip

När OpenAI släppte sin ChatGPT-chattbot för ungefär ett år sedan, öppnade det upp en ny era inom AI-teknologi. Detta ledde till att investerare upptäckte att NVIDIA tillhandahöll AI-accelerationshårdvaran som gjorde detta möjligt. NVIDIA:s aktiepris har mer än tredubblats 2023 på grund av försäljningen av AI-specifika processorer. Men NVIDIA står inför konkurrens från Samsung Electronics och Naver, som har utvecklat en AI-chip som är åtta gånger mer energieffektiv än NVIDIAs H100-accelerator. Detta kan innebära en större hot mot NVIDIAs dominans, eftersom effektivitet är avgörande inom AI-beräkning.

Direktlänk Dela Biz.crast.net fördjupade 24 december

Nvidia kan bli ny inkomstledare inom halvledarindustrin

Halvledarindustrin kan se en ny inkomstledare för första gången på tre decennier som inte är Intel eller Samsung Electronics efter ett år där alla pratade om artificiell intelligens. Nvidia har en hög marknadsandel inom grafikprocessorer (GPUs), vilka har blivit avgörande för AI genom att tillhandahålla beräkningskraften för maskininlärning.

Direktlänk Dela Nikkei Asian Review fördjupade 24 december

Samsung och Naver utmanar Nvidias dominans inom AI-chip med energieffektiv lösning

När OpenAI släppte ChatGPT-chatboten för ett år sedan, blev AI-teknologin som driver system som ChatGPT mycket populär. Nvidia, som tillhandahöll AI-accelerationshårdvaran som möjliggjorde detta, såg sin aktiekurs tredubblas 2023. Men nu utmanas Nvidia av Samsung Electronics och Naver, som utvecklar hårdvara och mjukvara för att matcha eller överträffa de bästa verktygen på marknaden idag. De hävdar att deras kommande AI-chip kommer att vara åtta gånger mer energieffektivt än Nvidias H100-accelerator. Detta kan innebära ett hot mot Nvidias dominans, då effektivitet är viktigt inom AI-beräkning.

Direktlänk Dela The Motley Fool fördjupade 23 december

Betydande tillväxt väntas på den globala marknaden för Edge Artificial Intelligence Chips

Den globala marknaden för Edge Artificial Intelligence Chips väntas uppleva en betydande tillväxt, enligt den senaste forskningsrapporten från Verified Market Research. Rapporten förutser att förändrade konsumtionsmönster kommer att påverka marknaden avsevärt. Marknaden för Edge Artificial Intelligence Chips värderades till 1,74 miljarder USD 2020 och förväntas nå 2,09 miljarder USD 2028, med en CAGR på 2,27% från 2021 till 2028. De tio ledande företagen på den globala marknaden för Edge Artificial Intelligence Chips är NVIDIA Corporation, Advanced Micro Devices, Alphabet Inc., Intel Corporation, Apple Inc., Mythic Ltd., Arm Limited, Samsung Electronics Co. Ltd., Qualcomm Technologies Inc, Xilinx Inc., HiSilicon(ShanghAI) Technologies CO. LIMITED, bland andra.

Direktlänk Dela Artrocker fördjupade 6 december

Rapport om AI Edge Device-marknaden publicerad av Statsndata

Statsndata har publicerat en rapport om processen för insamling, analys och tolkning av data för marknaden för artificiell intelligens (AI) Edge Device. Rapporten visar hur företag samlar in, analyserar och tolkar sin marknadsdata, vilket hjälper företag att bättre förstå marknaden för AI Edge Device, identifiera kundbehov och preferenser samt bedöma industritävling. Några av de största företagen som påverkar denna marknad inkluderar Intel Corporation, Huawei Technologies, MediaTek, Xilinx, NVIDIA Corporation, Microsoft Corporation, Samsung Electronics, Imagination Technologies Limited och Google. Rapporten ger också en översikt över marknaden, inklusive definition, tillämpningar och utveckling, tillverkningsteknik.

Direktlänk Dela Salisburyandstonehenge.net fördjupade 2 december

Global rapport visar stark tillväxt för AI inom säkerhetsmarknaden

En global rapport om artificiell intelligens (AI) inom säkerhetsmarknaden ger en omfattande analys av industrin och erbjuder värdefulla insikter för organisationer och intressenter. Rapporten undersöker marknadstrender, tillväxtdrivare, utmaningar och möjligheter. AI inom säkerhetsmarknaden värderades till 6,78 miljarder dollar 2019 och förväntas nå 40,95 miljarder dollar 2027, med en årlig tillväxttakt (CAGR) på 31,2% från 2020 till 2027. De ledande företagen i denna marknad inkluderar Threatmetrix, Skycure, Sparkcognition, Samsung Electronics, Micron, IBM, Cylance, Nvidia, Securonix, Intel, Xilinx, Amazon, Antivirus Companies, Acalvio, Sift Science och Darktrace.

Direktlänk Dela Salisburyandstonehenge.net fördjupade 29 november

Samsung lanserar Gauss, ett nytt AI-verktyg

Samsung Electronics har lanserat Gauss, en generativ AI-modell utvecklad av företagets forskningsteam för att öka anställdas produktivitet. Gauss har tre verktyg: Samsung Gauss Language, Samsung Gauss Code och Samsung Gauss Image. Gauss Language kan förstå och förutsäga mänskligt språk och svara på frågor från användare. Gauss Code hjälper utvecklare att granska och testa kod snabbare. Gauss Image är utformat för att generera bilder i både hög och låg upplösning. Gauss presenterades på Samsung AI Forum 2023 i Sydkorea den 15 november 2023.

Direktlänk Dela Coinspeaker fördjupade 26 november

Marknaden för AI i leveranskedjan förväntas växa kraftigt

Enligt The Business Research Companys rapport om artificiell intelligens i den globala leveranskedjan förväntas marknaden växa kraftigt, från 2,29 miljarder dollar 2022 till 3,39 miljarder dollar 2023, en årlig tillväxttakt (CAGR) på 47,9%. Marknaden förväntas nå 15,07 miljarder dollar 2027, med en imponerande CAGR på 45,2%. En viktig drivkraft bakom tillväxten är ökningen av internetanvändningen. Ledande spelare på marknaden inkluderar Amazon.com Inc., Google LLC, Samsung Electronics Co Ltd., Microsoft Corporation med flera. Nordamerika var den största regionen på marknaden 2022, medan Asien och Stillahavsområdet förväntas växa snabbast.

Direktlänk Dela GlobeNewswire fördjupade 23 november

Samsung tillkännager Galaxy AI för tidig lansering nästa år

Samsung Electronics har tillkännagivit Galaxy AI, ett omfattande mobilt AI-upplevelse som företaget beskriver som ´en omfattande mobil AI-upplevelse´. Enligt företaget kommer Galaxy AI ´tidigt nästa år´, vilket antyder att det kan inkluderas i dess Galaxy S24-smarttelefonserie. AI-systemet inkluderar Samsungs generativa språkmodell som kallas Gauss Language, som hjälper till att skriva e-post och översätta innehåll, samt Gauss Image, som kan generera och redigera bilder. Systemet har också en funktion som gör det möjligt för interna mjukvaruutvecklare att skriva kod snabbare. Samsung använder för närvarande sina Gauss-modeller för att öka anställdas produktivitet, men företaget sa att det kommer att utöka denna användning för att inkludera ´en mängd Samsung-produktapplikationer för att ge en ny användarupplevelse i nära framtid´.

Direktlänk Dela RCR Wireless News fördjupade 10 november

Samsung introducerar ny generativ AI-modell, Samsung Gauss

Samsung Electronics presenterade på onsdagen en ny generativ AI-modell, vilket antyder att programvaran snart kommer till dess enheter. Den generativa AI:n har populariserats av Microsoft-stödda OpenAI och ChatGPT. Samsungs teknik, kallad Samsung Gauss, är designad för AI-applikationer på enheter. AI-systemet har flera funktioner, inklusive ett generativt språkmodell som kan hjälpa till att skriva e-post och översätta innehåll. Samsung Gauss används för närvarande för att öka medarbetarnas produktivitet men kommer att utökas till en mängd Samsung-produktapplikationer för att erbjuda en ny användarupplevelse i nära framtid.

Direktlänk Dela Consumer News and Business Channel fördjupade 8 november

Amazon och Samsung intensifierar AI-tävlingen: Konsekvenser för Microsoft, Google och Apple

Konkurrensen för att släppa artificiella intelligensmodeller rör sig i en svindlande takt. Amazon och Samsung Electronics är de senaste företagen som hoppas utmana marknadsledarna Microsoft och Google.

Direktlänk Dela Barron´s fördjupade 8 november

Samsung presenterar sin första generativa AI, Samsung Gauss

Samsung Electronics presenterade sin första generativa AI-modell, Samsung Gauss, för att konkurrera med liknande AI-verktyg som ChatGPT, Google Bard och Bing AI chatbot. Samsung Gauss kommer initialt att användas internt bland företagets anställda för att förbättra produktiviteten, men planeras sedan att integreras i smarta enheter, inklusive kommande Galaxy S24-smarttelefoner. Samsung Gauss består av tre modeller - Samsung Gauss Language, Samsung Gauss Code och Samsung Gauss Image. Företaget har även samarbetat med Naver Corp. för att utveckla en generativ AI-plattform för företagsanvändare, särskilt inom chipdesign och tillverkningssektorn.

Direktlänk Dela Korea Economic Daily Global fördjupade 8 november

MIT skapar SecureLoop för att förbättra prestanda och säkerhet för AI

MIT har skapat SecureLoop, ett sökverktyg för att identifiera effektiva och säkra designlösningar för djupa neurala nätverksacceleratorer. Verktyget integrerar kryptering och autentisering i designprocessen, vilket resulterar i acceleratorer som presterar bättre och använder mindre energi. SecureLoop ifrågasätter industrins tro att säkerhetsfunktioner endast minimalt påverkar acceleratorns design. Verktyget kan effektivt identifiera säkra designlösningar för hårdvara som kan förbättra prestanda för komplexa AI-uppgifter, samtidigt som det kräver mindre energi.

Direktlänk Dela SciTechDaily fördjupade 8 november

Forskare vid MIT har utvecklat SecureLoop, en sökmotor som effektivt kan identifiera optimala designer för djup neuralnätverksacceleratorer, samtidigt som den bevarar datasäkerheten. SecureLoop är utformad för att överväga hur tillägg av datakryptering och autentiseringsåtgärder kommer att påverka prestanda och energianvändning av acceleratorchipet. Verktyget kan hjälpa till att förbättra hastighet och prestanda för krävande AI-applikationer, samtidigt som känslig användardata skyddas. Forskningen kommer att presenteras vid IEEE/ACM International Symposium on Microarchitecture.

MIT News fördjupade 30 oktober

Samsung introducerar nya lösningar för att förbättra högupplösta upplevelser

Samsung Electronics lanserade sin 200-megapixel (MP) bildsensor för första gången 2021. Företaget har sedan dess lett den ultrahöga megapixelindustrin genom att kontinuerligt lansera nya produkter och sträva efter ytterligare innovation. Några av dessa utvecklingar inkluderar tillämpningen av Dual-VTG och Tetra2pixel, vilka har förbättrat pixeltekniken avsevärt. Företaget introducerar nu ytterligare lösningar för att förbättra den högupplösta upplevelsen. Två av dessa lösningar är av särskilt intresse för smartphoneanvändare som ofta spelar in videor och tar bilder samtidigt som de vill lägga till en mer dynamisk känsla i sina slutprodukter.

Direktlänk Dela Samsung Newsroom fördjupade 27 oktober

Marknaden för AI-chip förväntas nå $383,7 miljarder år 2032

Enligt en rapport från Allied Market Research var marknaden för artificiella intelligenschip värd $14,9 miljarder år 2022 och förväntas nå $383,7 miljarder år 2032, med en årlig tillväxttakt (CAGR) på 38,2% mellan 2023 och 2032. Rapporten ger en överblick över marknadens nuvarande behov och framtida möjligheter. Några av de framstående spelarna på marknaden inkluderar MediaTek Inc, Samsung Electronics Co Ltd, NVIDIA Corporation (Mellanox Technologies), SoftBank Corp., Mythic, Baidu, NXP Semiconductors, Alphabet Inc., Advanced Micro Devices Inc.(Xilinx Inc.), och Qualcomm Technologies Inc.

Direktlänk Dela EIN News fördjupade 26 oktober

SK Hynix förutser ökade chipvinster tack vare AI-boom

Sydkoreanska SK Hynix förutser att en boom inom artificiell intelligens kommer att driva upp vinster för chiptillverkning, efter att ha rapporterat en betydligt mindre förlust för tredje kvartalet än under föregående kvartal. Stark efterfrågan på avancerade chips som används inom AI hjälpte till att mildra effekterna av en långsam efterfrågan på vanliga chips som används i smartphones och datorer. SK Hynix förväntar sig att minneschipmarknaden kommer att återhämta sig ordentligt nästa år. Företaget meddelade att dess DRAM-verksamhet återgick till vinst under tredje kvartalet, efter att ha rapporterat förluster under de två första kvartalen i år.

Direktlänk Dela Reuters fördjupade 26 oktober

KLA förutspår ökade intäkter tack vare ökad användning av AI-verktyg

Chiputrustningsleverantören KLA förutspår en andra kvartalsintäkt över Wall Streets estimat, drivet av en växande användning av artificiella intelligensverktyg som kräver avancerade processorer. Företagets aktier steg med 1,6% till $462,01 efter handelns slut. Många organisationer har börjat använda generativa AI-verktyg i år, vilket har lett till ökade utgifter för högkvalitativa chips tillverkade av KLAs kunder, som Taiwan Semiconductor Manufacturing Co och Samsung Electronics. Detta har drivit efterfrågan på utrustning för att tillverka och designa chips, vilket gynnar KLA och dess konkurrenter som ASML och Applied Materials.

Direktlänk Dela Yahoo Finance fördjupade 26 oktober

En ny rapport med titeln ´Artificiell intelligens i säkerhetsmarknaden´ av Market Intelx belyser branschdynamiken och nuvarande och framtida trender som spelar en nyckelroll för företagets expansion. Rapporten inkluderar också de viktigaste drivkrafterna och begränsningarna som påverkar tillväxten. De stora aktörerna som riktar sig mot marknaden inkluderar Nvidia, Intel, Xilinx, Samsung Electronics, Micron, IBM, Cylance, Threatmetrix, Securonix, Amazon, Sift Science, Acalvio, Skycure, Darktrace och Sparkcognition.

The Nelson Post fördjupade 23 oktober

Samsung riskerar att underprestera jämfört med SK Hynix i AI-striden

Aktier i Samsung Electronics Co. riskerar att underprestera jämfört med sitt mindre minneschip-konkurrent SK Hynix Inc., mest på ett decennium, då investerare tror att sistnämnda kommer att bli vinnaren inom artificiell intelligens. SK Hynix har stigit 67% i år tack vare sitt avtal om att leverera premium högbandbredds minneschips till Nvidia Corp., vilket slår Samsung, som är upp 24% eftersom det kämpar för att få sitt HBM-erbjudande att lyfta. Denna klyfta kan vidga sig ytterligare enligt optionsdata. Samsung har ett annat sätt att utnyttja denna tillväxt, eftersom det också tillhandahåller kontraktschip-tillverkningstjänster. Men det missar här också eftersom Taiwan Semiconductor Manufacturing Co. dominerar fabrikationsverksamheten.

Direktlänk Dela Yahoo Finance fördjupade 23 oktober

Samsung testar minneschip av femte generationen, HBM3E ´Shinebolt´

Samsung Electronics har påbörjat testning av sitt minneschip av femte generationen, HBM3E ´Shinebolt´, och närmar sig därmed SK Hynix. HBM3E har stor betydelse i branschen eftersom det kommer att bana väg för nästa generations AI-GPU:er. Samsung har redan skickat ut prototyper till potentiella kunder för att klara kvalitetstester. Prototypversionen kommer att ha en 24-gigabit chip-stack i 8-Hi-paket, men den slutliga produkten kan ha ännu fler stackar. Initiala tester visar att Samsungs HBM3E kommer med en 50 % ökning i maximal dataöverföringshastighet jämfört med sin föregångare.

Direktlänk Dela Wccftech fördjupade 18 oktober

AI-styrning för mjukvaruutveckling i den moderna arbetsplatsen

Användningen av artificiell intelligens (AI) i mjukvaruutveckling har ökat, med plattformar som ChatGPT och GitHub Co-Pilot som hjälper utvecklare att öka effektiviteten. Trots att AI kan spara tid och resurser, är mänsklig övervakning fortfarande nödvändig för att säkerställa kvaliteten på koden och för att hantera säkerhetsrisker. Det finns flera risker som företag måste beakta när de använder AI-program för kodutveckling, inklusive risk för fel i koden, säkerhetsproblem och immaterialrättsliga frågor. Samsung Electronics förbjöd användningen av ChatGPT och andra AI-drivna chattbotar av sina anställda 2023 efter oro för läckage av känslig intern information.

Direktlänk Dela The National Law Review fördjupade 17 oktober

» Nyheterna från en dag tidigare

Några av våra kursdeltagare